tech

Where is the domestic FPGA now?

With the rapid development of Artificial Intelligence (AI) technology, its application boundaries are continuously expanding. From simple image recognition to complex natural language processing, and to cutting-edge fields such as autonomous driving and intelligent manufacturing, AI is changing our world at an unprecedented speed.

In this AI revolution, deep learning, as its core driving force, is constantly promoting the innovation of algorithms and models, while also putting forward more stringent requirements for computing resources.

Although the FPGA, born in 1985, has not been around for a long time, it has already seized a place in the wave of various chips with its unique advantage of "programmability" and has become another strong competitor to GPU chips.

Advertisement

01

Features of FPGA

FPGA chips are developed based on programmable devices (PAL, GAL, CPLD) and are semi-customized, programmable integrated circuits. FPGA mainly has three major features:

High programmability flexibility

Whether it is a CPU, GPU, DSP, Memory, or various types of ASIC chips, after the chip is manufactured, its function is already fixed, and users cannot make any modifications to its hardware functions. However, the function of an FPGA chip is not fixed after it is manufactured. Users can configure the FPGA chip with their own designed circuit through the dedicated EDA software provided by the FPGA chip company according to their actual needs, thus transforming the blank FPGA chip into an integrated circuit chip with specific functions.Each FPGA chip can be configured multiple times with different functions, thereby achieving various functionalities and possessing high flexibility.

Short Development Cycle

In the manufacturing process of logic chips, such as ASIC, there are multiple steps including logic implementation, wiring processing, and tape-out; whereas FPGA does not require wiring, mask, and custom tape-out, which greatly simplifies chip development. Generally, the development cycle for logic chips, such as ASIC, DSP, and SOC, takes 14-24 months or even longer, while FPGA only requires 6-12 months, reducing the development cycle by 55% compared to other chips.

As the world's largest FPGA manufacturer, Xilinx, believes that speed is more important than cost. If a product is delayed by six months, profits will decrease by 33% over five years, and a delay of four weeks is equivalent to a loss of 14% market share.

High Efficiency in Parallel Computing

FPGA belongs to parallel computing, meaning it can execute multiple instruction algorithms at once. Traditional ASIC, DSP, and CPU are serial computing, which can only process one instruction set at a time. Therefore, in some special tasks, the parallel computing efficiency of FPGA is higher than that of serial computing.

CPU, GPU, ASIC, and FPGA are four types of computer processors, each with unique advantages.

Comparison of FPGA with Mainstream Chips

The CPU has fewer arithmetic logic units (ALUs), and the controller occupies a larger proportion; the GPU has many small arithmetic logic units (ALUs), a simple controller, and less cache. The different architectures make the CPU good at logical control and serial computing, while the GPU is good at high-intensity parallel computing. The processing power of a single GPU computing unit is weaker than that of the CPU's ALU, but the large number of computing units can work simultaneously, and when facing high-intensity parallel computing, its performance is better than that of the CPU. Nowadays, GPUs are not only used for image processing but are also increasingly used in other computing tasks.It can be said that the CPU is more like an excellent leader with multiple functions. Its advantage lies in strong scheduling, management, and coordination capabilities, while computing power is secondary. The GPU is equivalent to an employee with "a large amount of computing power" who accepts the scheduling of the CPU.

ASIC, which stands for Application-Specific Integrated Circuit, refers to an integrated circuit designed and manufactured according to the specific requirements of a particular user and the needs of a specific electronic system. The characteristics of an ASIC are tailored to the needs of specific users. Compared to general-purpose integrated circuits, ASICs have advantages such as smaller size, lower power consumption, improved reliability, enhanced performance, increased security, and reduced costs when produced in large quantities.

Developing artificial intelligence chips based on ASICs is more like circuit design, requiring repeated optimization and a longer tape-out cycle, hence the development cycle is longer.

After mass production, the cost and price of ASIC artificial intelligence chips are relatively low. Although compared to FPGAs, ASIC artificial intelligence chips require a longer development cycle and expensive tape-out investment, these upfront development costs are diluted after mass production. Therefore, after mass production, the cost and price of ASIC artificial intelligence chips will be lower than those of FPGA artificial intelligence chips.

Benefiting from its unique architecture, the programmable nature of FPGAs allows them to achieve a better balance between computing power, cost, and power consumption compared to other processors.

02

FPGA's Breakthrough Moment

FPGAs, with their high programmable flexibility, short development cycle, and high parallel computing efficiency, have a particularly wide range of application scenarios.

Communications is currently the largest application market for FPGAs. The application of FPGAs in the field of communications includes network switching, communication protocol conversion, traffic control, etc. They can be used to achieve efficient data processing and large-scale radio frequency relaying. For example, in 5G communication technology, FPGAs are used for signal processing and data processing in base stations, improving the performance and stability of the communication system.The industrial sector is one of the primary application markets for FPGA chips. FPGAs are mainly used in the industrial field for signal control and computation acceleration in areas such as video processing, image processing, and numerical control machine tools. For example, in automated production lines, FPGAs are used to control the movement of robotic arms and coordinate the operation of various equipment.

Data centers are one of the emerging application markets for FPGA chips. In the field of data center computation processing, FPGA chips are primarily used for hardware acceleration. Compared to CPUs, FPGA chips, due to their instruction-less and shared memory-free architecture, can provide both powerful computing capabilities and sufficient flexibility; compared to GPUs, FPGA chips have the advantages of low latency and high throughput in data centers; compared to ASICs, FPGA chips achieve an excellent balance in terms of flexibility and development time.

With the rise of electric vehicles, the application of FPGAs in the automotive field is becoming more and more extensive. The demand for FPGAs in the automotive electronics industry mainly comes from ADAS (Advanced Driver Assistance Systems) and AV (Autonomous Vehicles). For instance, FPGA chips can be used to control and drive the electric vehicle motor control system, connect various in-vehicle devices such as the driving system, dashboard, radar, and ultrasonic sensors, and achieve signal processing and control for LiDAR, millimeter-wave radar, and other systems. In the field of video bridging and fusion, FPGA chips can be used to achieve signal bridging of multiple image sensors, 3D surround video fusion, reverse assistance video, and auxiliary driving video functions. In the field of assisted driving and autonomous driving, FPGA chips can be used to implement various functions such as machine vision and target detection.

In the era of artificial intelligence, AI algorithms are constantly being updated, and there is a high demand for the computing power and flexibility of hardware. The flexibility of FPGAs perfectly matches the characteristics of AI. FPGAs are mainly used as accelerators for matrix multiplication algorithms and as executors for neural network accelerators. They can achieve low-latency computation and high-performance operations, providing strong hardware support for the development of artificial intelligence. For example, in the field of deep learning, FPGAs are used to accelerate the inference and training processes of neural networks, improving the processing speed and energy efficiency of AI systems. In addition, with the increasing popularity of heterogeneous computing integration, architectures such as FPGA+CPU also bring more potential advantages to AI.

03

International FPGA Competition Landscape

The global FPGA market is mainly dominated by two overseas companies, Xilinx (acquired by AMD) and Intel, forming a duopoly. In 2019, the two companies together accounted for more than 85% of the global market share. Due to high technical barriers and rapid product updates, the global FPGA market is highly concentrated, with a relatively low market share for domestic manufacturers.

AMD's products have entered the 7nm complex heterogeneous field.

The product matrix of AMD (Xilinx) FPGA mainly includes: four major FPGA product series (VIRTEX, KINTEX, ARTIX, SPARTAN), as well as two higher integration adaptive SoC (Adaptive System on Chip) series (ZYNQ, VERSAL).Comparison of AMD (Xilinx) High/Mid/Low-End FPGA Product Series

From the perspective of chip manufacturing processes, there are mainly four major categories: UltraScale+ (16nm), UltraScale (20nm), 7 Series (28nm), and 6 Series (45nm). The VERSAL series has been upgraded to a 7nm process as a whole.

Looking at the chip architecture, FPGA single-chip development is moving towards more advanced processes, higher-speed circuit structures, and complex heterogeneous SoC systems. In AMD's VERSAL series products, communication protocols such as PCIe and Ethernet, as well as AI engines and NoC architectures, are integrated.

In terms of product pricing, as the product positioning moves from low-end to high-end, the FPGA capacity and other indicators continue to improve, the communication architecture is gradually upgraded, and the corresponding development board prices have risen from several hundred US dollars to over ten thousand US dollars.

In terms of application fields, most of the FPGA chips suitable for high-end applications such as data centers are high-end FPGA product lines, and only a few mid-to-high-end FPGAs can meet the data center network acceleration requirements.

Intel: High-end products have been shipped in large quantities, and low-power series are about to be launched

In March of this year, Intel operated its Programmable Solutions Group (PSG) independently and re-presented it under the Altera brand image. The newly established Altera has formulated a new development strategy, aiming to consolidate and expand its market position by focusing on the high-performance FPGA market, while actively developing the mid-to-low-end FPGA market to attract a broader customer base and expand the development space.

The Agilex FPGA and SoC FPGA family are built on Intel's 10-nanometer process node, which can improve performance and reduce power consumption.

The Agilex9 FPGA and SoC FPGA provide unprecedented functionality and optimization for target applications, surpassing the limits of mainstream programmable logic. Mass production has been achieved. This product is particularly suitable for application scenarios that require high-bandwidth mixed-signal FPGA processing, thanks to the industry-leading data converters.The Agilex 7 FPGA and SoC FPGA is a high-performance FPGA that offers industry-leading architecture and I/O speeds, making it an ideal choice for most bandwidth-intensive and compute-intensive applications. The logic structure performance power ratio of Intel's Agilex 7 is about twice as high as that of competitor's 7nm node FPGAs.

The Agilex 7 devices utilize advanced 10-nanometer SuperFin technology (F series and I series), Intel 7 technology (M series), and the second-generation Intel Hyperflex FPGA architecture. The application fields of this product include data centers, networking, defense, and industry.

The Agilex 5 is a mid-range FPGA optimized for applications that require high performance, low power consumption, and small size. This product is now fully available in the market.

Intel's Agilex 5 is the first FPGA to support LPDDR4, LPDDR5 (+DDR4, DDR5), the first to be equipped with AI tensor blocks, and the first to have an asymmetric multi-core application processor system (2xArm A76, 2xArm A55), which enhances AI inference capabilities at the edge. Its E series is optimized for power consumption and size, with a performance power ratio that is 1.6 times higher than that of 16nm competitors; the D series is optimized for performance, with a performance power ratio that is twice as high as that of 7nm competitors.

The Agilex 3 is about to be launched, and this product series features a smaller form factor as well as power consumption and cost optimization. This product is designed to provide a leading low-power FPGA product series for low-complexity functions in cloud computing, communication, and smart edge applications.

In summary, Altera's product line is increasingly improving, with Agilex 9 currently in mass production, Agilex 7's F series and I series devices already in production, Agilex 5 fully available in the market, and the upcoming Agilex 3 will meet the demand for low-complexity, low-power FPGAs in cloud, communication, and smart edge applications.

04

The competition among domestic manufacturers is becoming increasingly fierce.

At present, there is an objective gap between domestic FPGA manufacturers and overseas leaders, and there is ample space for catching up.Gap Between Domestic and International Manufacturers

Let's first examine the current gap between domestic and international manufacturers.

Looking at the capacity of FPGAs, the highest level of domestic civilian FPGAs can currently achieve about 400k logic elements, while the largest capacity FPGA in the world is the VP1902 (Versal Premium) launched by AMD on June 27, 2023, with a logic element count as high as 18,507k, which is 46 times that of domestic products.

In terms of process technology, the most advanced domestic process is currently at 14/16nm, while Xilinx released a 7nm heterogeneous FPGA product Versal in 2018.

In terms of revenue, China's main FPGA companies include Unisplendour, Anlogic, Fudan Microelectronics, Gaoyun Semiconductor, Guowei Electronics, Efinix, Xi'an Zhiduo Crystal, and Beijing Micro Qi Li, etc. As an important part of the global FPGA market, China's market share was about 1/3 in 2022, but on the supply side, the global market share of domestic FPGA chips is less than 10%.

From the perspective of downstream applications, the two most advanced fields of FPGA—prototype verification and data center accelerated computing—still do not have domestic FPGAs that can enter.

In terms of gross profit margin, domestic FPGAs are currently focused on replacing mid-low capacity in the consumer electronics field. Compared with the high-capacity FPGA market, the entry barrier is relatively lower, resulting in a certain degree of "involution". The typical gross profit margin is between 35% and 40%, while AMD has a prominent competitive advantage in the high-end FPGA market, with a gross profit margin basically maintained at more than 65% in the past 10 years, and close to 70% in the past 3 years.

Rapid technological progress of domestic manufacturers

Although the Chinese FPGA industry started late, it has developed rapidly in recent years.

Driven by market demand and supported by national policies, local FPGA companies have been continuously making efforts and have achieved significant results in both technology and market aspects.Currently, the low-capacity FPGA technology of Chinese manufacturers has become relatively mature. The logic cells of low-capacity FPGAs are less than 100k, demanding an extreme low cost and low power consumption, mainly focusing on the 55nm, 40nm, and 28nm nodes.

Most domestic manufacturers have introduced such products before and in 2019, which is often the first generation of products for domestic FPGA manufacturers. For example, Unisplendour's Logos series was launched in 2017, which is a 40nm low-power, low-cost FPGA with logic cells ranging from 12 to 102k; Anlogic's 55nm Eagle4 was launched in 2016, with logic cells of 20k, mainly used in the field of servo control and high-speed image interface conversion; Gaoyun Semiconductor's 55nm FPGA LittleBee was launched in 2016, which is the company's first-generation product, with the number of logic cells ranging from 1 to 8k.

In the mid-to-low capacity market of 28nm, Chinese FPGA manufacturers have also had mature products. Mid-capacity FPGAs mainly refer to FPGAs with logic cells ranging from 100k to 500k, mainly used in wireless communication air interfaces, industrial, automotive, and A&D fields. The mid-capacity market does not pursue the highest performance, where performance and power consumption are equally important, and there are certain requirements for cost. For example, Unisplendour, Anlogic, and Zhiduo Crystal all launched 28nm FPGA products in 2020, mainly targeting Xilinx's 7 series products.

In addition, some manufacturers have launched 22nm FPGAs to replace some mid-to-low capacity 28nm FPGAs. For example, Gaoyun launched the Aurora V in September 2022, which is its 22nm FPGA product with 138k logic cells.

High-capacity FPGAs with more than 500K are currently the difficulty of domestic replacement. It requires domestic companies to develop deeply in aspects such as hardware architecture, EDA software, and IP performance. Among them, the most important thing is to solve the placement and routing problems of EDA software in large-scale FPGAs.

Significant growth in domestic FPGA revenue

Looking at the revenue situation of domestic FPGA manufacturers.

As a leading enterprise in the domestic FPGA field, Anlogic's business income was only 28.52 million yuan and 122 million yuan in 2018 and 2019, respectively. After that, its performance doubled in 2021 and 2022.

According to public data, Anlogic's FPGA business revenue in 2020 was 280 million yuan, and it continued to rise in the following years. By 2021, its FPGA business revenue increased to 642 million yuan, more than doubling year-on-year. By 2022, this figure had grown to 989 million yuan, showing a strong market growth momentum.Anlu Technology's gross profit margin also shows excellent performance. From 2020 to 2022, its gross profit margins were 34.05%, 34.18%, and 39.13%, respectively, showing a steady upward trend. Especially in the FPGA business field, its gross profit margin reached 39.13% in 2022.

Fudan Microelectronics, as a veteran IC design company in China, also performs well in the FPGA field. In recent years, its FPGA business revenue has continued to grow. In 2020, Fudan Microelectronics' FPGA business revenue was 204 million yuan; by 2021, this figure increased to 427 million yuan; and by 2022, it reached 781 million yuan, showing the company's strong competitiveness in the FPGA market.

Fudan Microelectronics' gross profit margin is also at a high level. From 2020 to 2022, its FPGA business gross profit margins were 82.56%, 84.71%, and 84.7%, respectively, maintaining high stability and profitability. This is mainly due to the company's strong technical strength and a well-planned product line layout.

05

Domestic FPGA manufacturers have many opportunities

At present, there are two favorable conditions for the domestication of FPGA: First, in recent years, domestic FPGA manufacturers have received a large amount of government subsidies, reflecting the national strong support for the domestication of FPGA. Second, the life cycle of 28nm is longer than previous generations, giving domestic manufacturers more room to catch up.

According to disclosed data, from 2018 to 2022, over five years, domestic FPGA manufacturers have received tens of millions of yuan or even hundreds of millions of yuan in government subsidies each year. In 2022, Anlu Technology, Fudan Microelectronics, and Unisplendour Corporation received government subsidies of more than 30 million yuan, 60 million yuan, and 170 million yuan, respectively. Longxin Zhongke, whose main product is the CPU, received a government subsidy of 190 million yuan in 2022, while Hygon Information, whose main products are CPUs and DCUs, received more than 60 million yuan, and Jingjia Micro received more than 30 million yuan. According to WSTS data, the microprocessor market size in 2022 was 50.8 billion US dollars, six times the size of the FPGA market. Compared with other digital chip companies, FPGA companies still receive a large amount of government subsidies, reflecting the national strong support for FPGA.

In addition, as a typical digital chip, the life cycle of FPGA is generally only 10-15 years (while analog chips can be more than 20 years), and its rapid iteration characteristics make its revenue peak often occur in the fourth to fifth year after launch, with 60% of the revenue occurring in the first six years, followed by a market where both quantity and price fall. Therefore, market players must strive to lead in process technology, as manufacturers with lagging processes often cannot obtain enough revenue to support the development of the next generation of products. The longer life cycle of 28nm provides domestic manufacturers with more room to catch up.

In the future, the FPGA chip market will continue to grow rapidly. With the continuous development of technologies such as 5G, the Internet of Things, and artificial intelligence, FPGA chips will play an important role in more fields. At the same time, with the continuous improvement of domestic FPGA chip technology and the gradual increase in market share, the domestic FPGA chip market will also usher in a broader development space.According to Frost & Sullivan's forecast, it is expected that the global FPGA market size will exceed 12.5 billion US dollars by 2025. The domestic market size is projected to increase from 20.88 billion yuan in 2022 to 33.22 billion yuan in 2025, with a three-year CAGR of approximately 17%.

In general, the FPGA chip market is a market full of opportunities and challenges. With the continuous advancement of technology and the expansion of application fields, FPGA chips will play an important role in more areas and promote the rapid development of related industries.

Leave a Reply